Skip to main content

VUnit is an open source unit testing framework for VHDL.

Project description

What is VUnit?

VUnit is an open source unit testing framework for VHDL/SystemVerilog released under the terms of Mozilla Public License, v. 2.0. It features the functionality needed to realize continuous and automated testing of your HDL code. VUnit doesn’t replace but rather complements traditional testing methodologies by supporting a “test early and often” approach through automation.

Read more on our Website

License

VUnit

VUnit except for OSVVM (see below) is released under the terms of Mozilla Public License, v. 2.0.

© 2014-2016 Lars Asplund, lars.anders.asplund@gmail.com.

OSVVM

OSVVM 2015.03 is redistributed with VUnit for your convenience. Minor modifications have been made to enable GHDL support. Derivative work is also located under examples/vhdl/osvvm_integration/src. These files are licensed under the terms of ARTISTIC License.

© 2010 - 2015 by SynthWorks Design Inc. All rights reserved.

Project details


Release history Release notifications | RSS feed

Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

vunit_hdl-0.61.0.tar.gz (741.5 kB view hashes)

Uploaded Source

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page