Skip to main content

The toolkit for building extension modules

Project description

extensionlib

CI/CD CI - Test CD - Build CD - Publish
Docs Docs - Build Docs - Publish
Package PyPI - Version PyPI - Downloads PyPI - Python Version
Meta code style - black types - Mypy imports - isort License - MIT GitHub Sponsors

The toolkit for building extension modules.

See the documentation.

License

extensionlib is distributed under the terms of the MIT license.

Project details


Download files

Download the file for your platform. If you're not sure which to choose, learn more about installing packages.

Source Distribution

extensionlib-0.1.0.tar.gz (20.8 kB view hashes)

Uploaded Source

Built Distributions

extensionlib-0.1.0-py3-none-any.whl (7.1 kB view hashes)

Uploaded Python 3

extensionlib-0.1.0-cp310-cp310-win_amd64.whl (82.1 kB view hashes)

Uploaded CPython 3.10 Windows x86-64

extensionlib-0.1.0-cp310-cp310-win32.whl (73.1 kB view hashes)

Uploaded CPython 3.10 Windows x86

extensionlib-0.1.0-cp310-cp310-musllinux_1_1_x86_64.whl (146.8 kB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.1+ x86-64

extensionlib-0.1.0-cp310-cp310-musllinux_1_1_i686.whl (148.3 kB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.1+ i686

extensionlib-0.1.0-cp310-cp310-musllinux_1_1_aarch64.whl (147.0 kB view hashes)

Uploaded CPython 3.10 musllinux: musl 1.1+ ARM64

extensionlib-0.1.0-cp310-cp310-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (151.1 kB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ x86-64

extensionlib-0.1.0-cp310-cp310-manylinux_2_17_i686.manylinux_2_5_i686.manylinux1_i686.manylinux2014_i686.whl (151.8 kB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

extensionlib-0.1.0-cp310-cp310-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (153.0 kB view hashes)

Uploaded CPython 3.10 manylinux: glibc 2.17+ ARM64

extensionlib-0.1.0-cp310-cp310-macosx_11_0_x86_64.whl (81.3 kB view hashes)

Uploaded CPython 3.10 macOS 11.0+ x86-64

extensionlib-0.1.0-cp39-cp39-win_amd64.whl (82.0 kB view hashes)

Uploaded CPython 3.9 Windows x86-64

extensionlib-0.1.0-cp39-cp39-win32.whl (73.1 kB view hashes)

Uploaded CPython 3.9 Windows x86

extensionlib-0.1.0-cp39-cp39-musllinux_1_1_x86_64.whl (146.1 kB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.1+ x86-64

extensionlib-0.1.0-cp39-cp39-musllinux_1_1_i686.whl (148.0 kB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.1+ i686

extensionlib-0.1.0-cp39-cp39-musllinux_1_1_aarch64.whl (146.4 kB view hashes)

Uploaded CPython 3.9 musllinux: musl 1.1+ ARM64

extensionlib-0.1.0-cp39-cp39-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (150.7 kB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ x86-64

extensionlib-0.1.0-cp39-cp39-manylinux_2_17_i686.manylinux_2_5_i686.manylinux1_i686.manylinux2014_i686.whl (151.6 kB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

extensionlib-0.1.0-cp39-cp39-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (152.5 kB view hashes)

Uploaded CPython 3.9 manylinux: glibc 2.17+ ARM64

extensionlib-0.1.0-cp39-cp39-macosx_11_0_x86_64.whl (81.2 kB view hashes)

Uploaded CPython 3.9 macOS 11.0+ x86-64

extensionlib-0.1.0-cp38-cp38-win_amd64.whl (81.6 kB view hashes)

Uploaded CPython 3.8 Windows x86-64

extensionlib-0.1.0-cp38-cp38-win32.whl (72.9 kB view hashes)

Uploaded CPython 3.8 Windows x86

extensionlib-0.1.0-cp38-cp38-musllinux_1_1_x86_64.whl (144.5 kB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.1+ x86-64

extensionlib-0.1.0-cp38-cp38-musllinux_1_1_i686.whl (146.5 kB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.1+ i686

extensionlib-0.1.0-cp38-cp38-musllinux_1_1_aarch64.whl (143.7 kB view hashes)

Uploaded CPython 3.8 musllinux: musl 1.1+ ARM64

extensionlib-0.1.0-cp38-cp38-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (148.2 kB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ x86-64

extensionlib-0.1.0-cp38-cp38-manylinux_2_17_i686.manylinux_2_5_i686.manylinux1_i686.manylinux2014_i686.whl (149.3 kB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

extensionlib-0.1.0-cp38-cp38-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (149.4 kB view hashes)

Uploaded CPython 3.8 manylinux: glibc 2.17+ ARM64

extensionlib-0.1.0-cp38-cp38-macosx_10_16_x86_64.whl (80.4 kB view hashes)

Uploaded CPython 3.8 macOS 10.16+ x86-64

extensionlib-0.1.0-cp37-cp37m-win_amd64.whl (80.2 kB view hashes)

Uploaded CPython 3.7m Windows x86-64

extensionlib-0.1.0-cp37-cp37m-win32.whl (71.9 kB view hashes)

Uploaded CPython 3.7m Windows x86

extensionlib-0.1.0-cp37-cp37m-musllinux_1_1_x86_64.whl (124.7 kB view hashes)

Uploaded CPython 3.7m musllinux: musl 1.1+ x86-64

extensionlib-0.1.0-cp37-cp37m-musllinux_1_1_i686.whl (127.0 kB view hashes)

Uploaded CPython 3.7m musllinux: musl 1.1+ i686

extensionlib-0.1.0-cp37-cp37m-musllinux_1_1_aarch64.whl (122.8 kB view hashes)

Uploaded CPython 3.7m musllinux: musl 1.1+ ARM64

extensionlib-0.1.0-cp37-cp37m-manylinux_2_17_x86_64.manylinux2014_x86_64.whl (128.1 kB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ x86-64

extensionlib-0.1.0-cp37-cp37m-manylinux_2_17_i686.manylinux_2_5_i686.manylinux1_i686.manylinux2014_i686.whl (129.0 kB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ i686 manylinux: glibc 2.5+ i686

extensionlib-0.1.0-cp37-cp37m-manylinux_2_17_aarch64.manylinux2014_aarch64.whl (128.5 kB view hashes)

Uploaded CPython 3.7m manylinux: glibc 2.17+ ARM64

extensionlib-0.1.0-cp37-cp37m-macosx_10_16_x86_64.whl (77.5 kB view hashes)

Uploaded CPython 3.7m macOS 10.16+ x86-64

Supported by

AWS AWS Cloud computing and Security Sponsor Datadog Datadog Monitoring Fastly Fastly CDN Google Google Download Analytics Microsoft Microsoft PSF Sponsor Pingdom Pingdom Monitoring Sentry Sentry Error logging StatusPage StatusPage Status page